哈亚军
教授
博士毕业院校: 比利时鲁汶大学
电话: 021-20685371
办公室: 信息学院3-316
专业方向:
单位:
所属课题组:
研究方向: FPGA结构、设计工具及应用 超低功耗数字电路与系统设计 硬件安全、智能与无人驾驶汽车、机器学习相关嵌入式系统研究及应用
招聘主页:
简介
团队
科研
教学
服务
成果
论文
影集
报道
主要岗位职责(A角)
兼任岗位职责(B角)
兼任岗位职业(C角)

哈亚军,信息学院教授,IEEE Transactions on Circuits and Systems II: Express Briefs 期刊总主编 (Editor-in-Chief),国家自然科学基金“外国资深学者”和“重点国际(地区) 合作研究”基金获得者,上海高能效与定制人工智能 IC 工程研究中心主任,以及上海科技大学后摩尔器件和集成系统中心主任、学术委员会主任、师资招聘委员会副主任。

哈亚军教授于1996年获浙江大学电子工程学士学位,2000年获新加坡国立大学电子工程硕士学位,2004年获比利时鲁汶大学电子工程博士学位。他曾历任新加坡资讯与通信研究院科学家及比亚迪联合实验室主任,新加坡国立大学电子与计算机工程系助理教授、兼职副教授,并曾在比利时欧洲微电子中心 (IMEC) 和上海航天局航天测控通信研究所参加研究工作。他主要从事高能效计算,特别是可重构计算、低功耗数字集成电路与系统设计、机器人以及智能与无人驾驶汽车相关的嵌入式系统研究及应用。他已在TCAS I & II TVLSITCJSSC以及DACISSCC等国际知名期刊和会议上发表了一百五十多篇学术论文,并获得多项最佳论文奖。他目前是IEEE Transactions on Circuits & Systems II期刊的总编(Editor-in-Chief),并曾是ISICAS 2020国际会议的技术委员会共同主席(TPC Co-Chair),包括IEEE Transactions on Circuits & Systems IIEEE Transactions on Circuits & Systems IIIEEE Transactions on Very Large Scale Integration (VLSI) Systems等国际期刊的副主编,也曾担任过FPT2010FPT2013国际会议的技术委员会共同主席以及ASP-DAC 2014国际会议的共同大会主席 (General Co-Chair) 等。他是上海市政府特聘教授。他也是国际电气与电子工程师协会的高级会员。

  • 1. Rui Li*, Yajun Ha, Rui Li, Heng Yu, Weixiong Jiang, Yajun Ha#, DVFS-Based Scrubbing Scheduling for Reliability Maximization on Parallel Tasks in SRAM-based FPGAs, 2020 57th ACM/IEEE Design Automation Conference (DAC), 2020-10-09,
  • 2. Fupeng Chen*, Yajun Ha, Fupeng Chen, Heng Yu, Yajun Ha#, Quality Estimation and Optimization of Adaptive Stereo Matching Algorithms for Smart Vehicles, ACM Transactions on Embedded Computing Systems, 2020-02-10, Volume 19, Issue 2, 1–24
  • 3. Hao Sun*, Yajun Ha, Hao Sun, Xinzhe Liu, Qi Deng, Weixiong Jiang, Shaobo Luo, Yajun Ha#, Efficient FPGA Implementation of K-Nearest-Neighbor Search Algorithm for 3D LIDAR Localization and Mapping in Smart Vehicles, IEEE Transactions on Circuits and Systems II: Express Briefs, 2020-08-03, Volume: 67, Issue: 9,1644 - 1648
  • 4. Weixiong Jiang*, Yajun Ha, Weixiong Jiang, Heng Yu, Xinzhe Liu, Hao Sun, Rui Li, Yajun Ha#, TAIT: One-Shot Full-Integer Lightweight DNN Quantization via Tunable Activation Imbalance Transfer, 2021 58th ACM/IEEE Design Automation Conference (DAC), 2021-11-08,
  • 5. Xinzhe Liu*, Yajun Ha, Xinzhe Liu, Fupeng Chen, Raees Kizhakkumkara Muhamad, David Blinder, Dessislava Nikolova, Peter Schelkens, Francky Catthoor, Yajun Ha#, Bitwidth-Optimized Energy-Efficient FFT Design via Scaling Information Propagation, 2021 58th ACM/IEEE Design Automation Conference (DAC), 2021-11-08,
  • 6. Jian Chen*, Yajun Ha, Jian Chen, Wenfeng Zhao, Yuqi Wang, Yajun Ha#, Analysis and Design of Reconfigurable Sense Amplifier for Compute SRAM With High-Speed Compute and Normal Read Access, IEEE Transactions on Circuits and Systems II: Express Briefs, 2021-10-27, Volume: 68, Issue: 12, 3503 - 3507
  • 7. Qi Deng*, Yajun Ha, Qi Deng, Hao Sun, Fupeng Chen, Yuhao Shu, Hui Wang, Yajun Ha#, An Optimized FPGA-Based Real-Time NDT for 3D-LiDAR Localization in Smart Vehicles, IEEE Transactions on Circuits and Systems II: Express Briefs, 2021-07-08, Volume: 68, Issue: 9, 3167 - 3171
  • 8. Hongtu Zhang*, Yajun Ha, Hongtu Zhang, Yuhao Shu, Weixiong Jiang, Zihan Yin, Wenfeng Zhao, Yajun Ha#, A 55nm, 0.4V 5526-TOPS/W Compute-in-Memory Binarized CNN Accelerator for AIoT Applications, IEEE Transactions on Circuits and Systems II: Express Briefs, 2021-03-17, Volume: 68, Issue: 5, 1695 - 1699
  • 9. Jian Chen*, Yajun Ha, Jian Chen, Wenfeng Zhao, Yuqi Wang, Yajun Ha#, Analysis and Optimization Strategies Toward Reliable and High-Speed 6T Compute SRAM, IEEE Transactions on Circuits and Systems I: Regular Papers, 2021-02-02, Volume: 68, Issue: 4, 1520 - 1531
  • 10. Heng Yu*, Yajun Ha, Heng Yu, Yajun Ha, Bharadwaj Veeravalli, Fupeng Chen, Hesham El-Sayed#, DVFS-Based Quality Maximization for Adaptive Applications With Diminishing Return, IEEE Transactions on Computers, 2020-05-28, Volume: 70, Issue: 5, 803 - 816
  • 11. Jian Chen*, Yajun Ha, Jian Chen, Wenfeng Zhao, Yuqi Wang, Yuhao Shu, Weixiong Jiang, Yajun Ha#, A Reliable 8T SRAM for High-Speed Searching and Logic-in-Memory Operation, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2022-04-20, Volume: 30, Issue: 6, 769 - 780
  • 12. Weixiong Jiang*, Yajun Ha, Weixiong Jiang, Heng Yu, Hongtu Zhang, Yuhao Shu, Rui Li, Jian Chen, Yajun Ha#, FODM: A Framework for Accurate Online Delay Measurement Supporting All Timing Paths in FPGA, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2022-02-01, Volume: 30, Issue: 4, 502 - 514
  • 13. Guangyao Yan*, Yajun Ha, Guangyao Yan, Xinzhe Liu, Fupeng Chen, Hui Wang, Yajun Ha#, Ultra-Fast FPGA Implementation of Graph Cut Algorithm With Ripple Push and Early Termination, IEEE Transactions on Circuits and Systems I: Regular Papers, 2022-01-06, Volume: 69, Issue: 4, 1532 - 1545
  • 14. Fupeng Chen*, Yajun Ha, Fupeng Chen, Heng Yu, Weixiong Jiang, Yajun Ha#, Quality Optimization of Adaptive Applications via Deep Reinforcement Learning in Energy Harvesting Edge Devices, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2022-01-11, Volume: 41, Issue: 11, 4873 - 4886
  • 15. Hao Sun*, Yajun Ha, Hao Sun, Qi Deng, Xinzhe Liu, Yuhao Shu, Yajun Ha#, An Energy-Efficient Stream-Based FPGA Implementation of Feature Extraction Algorithm for LiDAR Point Clouds With Effective Local-Search, IEEE Transactions on Circuits and Systems I: Regular Papers, 2022-10-26, Volume: 70, Issue: 1, 253 - 265
  • 16. Yifei Li*, Yajun Ha, Yifei Li, Jian Chen, Yuqi Wang, Zihan Yin, Hongyu Chen, Yajun Ha#, A 40nm 0.35V 25MHz Half-Select Disturb-Free Bit-interleaving 10T SRAM With Data-Aware Write-Path, 2023 IEEE Custom Integrated Circuits Conference (CICC), ,
  • 17. Yuhao Shu*, Yajun Ha, Yuhao Shu, Hongtu Zhang, Qi Deng, Hao Sun, Yajun Ha#, CIMC: A 603TOPS/W In-Memory-Computing C3T Macro with Boolean/Convolutional Operation for Cryogenic Computing, 2023 IEEE Custom Integrated Circuits Conference (CICC), ,
  • 18. Yuqi Wang*, Yajun Ha, Yuqi Wang, Shen Zhang, Yifei Li, Jian Chen, Wenfeng Zhao, Yajun Ha#, A Reliable and High-Speed 6T Compute-SRAM Design With Dual-Split-VDD Assist and Bitline Leakage Compensation, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, ,
  • 19. Guangyao Yan*, Yajun Ha, Guangyao Yan, Xinzhe Liu, Hui Wang, Yajun Ha#, Fast FPGA Accelerator of Graph Cut Algorithm with Out-of-order Parallel Execution in Folding Grid Architecture, 2023 60th ACM/IEEE Design Automation Conference (DAC), ,
  • 20. Lin Li*, Yajun Ha, Lin Li, Rui Li, Yajun Ha#, A Recursion and Lock Free GPU-based Logic Rewriting Framework Exploiting Both Intra-node and Inter-node Parallelism, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023-03-02,
  • 21. Rui Li*, Yajun Ha, Rui Li, Lin Li, Yajun Ha#, Criticality-Aware Negotiation-Driven Scrubbing Scheduling for Reliability Maximization in SRAM-based FPGAs, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023-03-15,